ПредишенСледващото

Накратко за трудния избор на "железни" програмисти.

Ако се развиват мобилни приложения, уеб страници или да създадете игра, най-вероятно не знаете за това, какво езици че всъщност става дума за сравнение, и наистина вярвам, че това не е за програмиране. Но ако животът ви е свързан с FPGA (CPLD, FPGA), въпросът е посочено в заглавието, защото не трябва да бъде - изборът се прави за дълъг период от време. Ето защо, ние се опитваме да се вземе този текст буквално за ръка и ги заведе първия към втория, при преминаване принуден да направи избор.

Iron конфронтация VHDL срещу Verilog, geekbrains - обучение портал за програмисти

описание на хардуер езици

В средата на 80-те години на развитието на интегрални схеми са достигнали ниво, което описват работата си прост двузначна логика, това е почти невъзможно. За микроконтролер системи, че е подходящо да се използва в целия свят вече натрупан популярност на езика на С, но по-основни устройства, необходими за разработване на изцяло нов език.

Първият основен представител стана Verilog, разработен от Gateway Design Automation през 1985 година. Огромно влияние върху езика имали едни и същи C, той идва като предпроцесорни и непосредствен външен вид на код. Основната цел - много голям мащаб интегралните схеми (VLSI), броят на логически възли, която надхвърля 10 хиляди елементи.

VHDL език развива успоредно и основен клиент е Министерството на отбраната на САЩ. Въз основа на това основание е взето Ada език, но някои функции, заимствани от Паскал. Обхват първоначално е бил по-широк от създателите на Verilog, VHDL замислени да се прилагат на всички етапи от логика дизайн.

Както вече споменахме, двата езика са основани върху познати на повечето езици от високо ниво, съответно, синтаксис и структурата като цяло изглежда познато. Тук е изпълнението на или във език VHDL:

библиотека IEEE;
използва ieee.std_logic_1164.all;

Предприятието е OR_ent
порт (х: в std_logic;
Y: в std_logic;
F: от std_logic
);
края OR_ent;

архитектура OR_arch на OR_ent е
започвам

метод (х, у)
започвам
-- сравни с истината маса
ако ((X = '0') и (у = "0")), след това
F <= '0';
още
F <= '1';
крайна сметка, ако;
край процес;

архитектура OR_beh на OR_ent е
започвам

Но реализацията или Verilog:

примитивен or2_input (с, а, Ь);
изход С;
вход А, В;
маса
// а б. в
1. 1;
. 1. 1;
0 0 0;
0 х. X;
х 0. X;
endtable
endprimitive

Представените примери ясно показват разликата между езици: VHDL много разбираем език, предназначен за конструкторите на схемата с повече разбиране първоначално програмиране, Verilog - за програмисти с основни познания по електроника. В първия случай, просто трябва да рисувам изключително изпълним действия с пълно разбиране на процесите, които протичат във втория - спести време и място, давайки предимство на мисли на необходимата функционалност.

Сегашната програма на Техеран

Ако се вгледате в TIOBE на популярност рейтинг. След това и двата езика, далеч не са най-отгоре. VHDL свеж рейтинг отнема само 49-то място, Verilog - затваря почтен сто. Ако се съсредоточи върху резултатите от запитвания за свободни работни места trud.com портал. ситуацията е обратна - Verilog VHDL играе с малък марж.

В допълнение, в полза на Verilog казва съвременната му модификация - SystemVerilog. Това е много по-сложен език, чиито възможности да надхвърля възможностите не само героите на този текст, но често текущите нужди (за сравнение, разликата е приблизително същата като тази между C ++ и C).

Въпреки това, от гледна точка на личния си опит спечели още на VHDL, просто се дължи на факта, че вероятността за програмиране схема ще се изправи срещу много по-висока, отколкото на разработчика, специализирана в езици от високо ниво, за да слезе до програмиране на FPGA.

Свързани статии

Подкрепете проекта - споделете линка, благодаря!